ISQED 2021: Program, Rev. 7

March 18, 2021


SESSION 1A

Wednesday April 7

Advanced Analog Design and Concepts

Chair: Nihaar Mahatme, NXP
Co-Chair: Srinivasan Gopal, Intel Corporation

127    10:00AM
1A.1
PVT and Aging Degradation Invariant Automated Optimization Approach for CMOS Low-Power High-Performance VLSI Circuits
Hema Sai Kalluru1, Prasenjit Saha2, Andleeb Zahra2, Zia Abbas3
1IIIT Hyderabad, 2International Institute of Information Technology Hyderabad, 3International Institute of Information Technology (IIIT), Hyderabad

133    10:20AM
1A.2
Design Space Extrapolation for Power Delivery Networks using a Transposed Convolutional Net
Osama Waqar Bhatti and Madhavan Swaminathan
Georgia Institute of Technology

137    10:40AM
1A.3
A Low Power Fully-Digital Multi-Level Voltage Monitor Operating in a Wide Voltage Range for Energy Harvesting IoT
Shima Sedighiani1, Kamlesh Singh2, Roel Jordans1, Pieter Harpe1, Jose Pineda de Gyvez1
1Eindhoven university of technology, 2Eindhoven University of Technology (TU/e)

163    11:00AM
1A.4
A Resistorless NanoWatt CMOS Voltage Reference with High PSRR
Naveed Naveed and Jeff Dix
University Of Arkansas


SESSION 1B

Wednesday April 7

Verification and Fault Analysis of Circuits

Chair: Fei Su, Intel Corporation
Co-Chair: Sreejit Chakravarty, Intel Corporation

145    10:00AM
1B.1
Gate-Level Graph Representation Learning: A Step Towards the Improved Stuck-at Faults Analysis
Aneesh Balakrishnan1, Dan Alexandrescu1, Maksim Jenihhin2, Thomas Lange1, Maximilien Glorieux1
1IROC Technologies, 2Tallinn University of Technology

174    10:20AM
1B.2
Efficient Reachability Analysis Based on Inductive Invariant Using X-value Based Flipflop Selection
Ryogo Koike and Masahiro Fujita
University of Tokyo

220    10:40AM
1B.3
Word-Level Multi-Fix Rectifiability of Finite Field Arithmetic Circuits
Vikas Rao1, Irina Ilioaea2, Haden Ondricek1, Priyank Kalla1, Florian Enescu3
1University of Utah, 2Louisiana State University Shreveport, 3Georgia State University

161    11:00AM
1B.4
Cell-Aware Diagnosis of Customer Returns Using Bayesian Inference
Safa Mhamdi1, Patrick Girard2, Arnaud Virazel3, Alberto Bosio4, Aymen Ladhar5
1LIRMM - University of Montpellier, 2LIRMM / CNRS, 3LIRMM, 4Lyon Institute of Nanotechnology, 5STMicroelectronics


SESSION 1C

Wednesday April 7

Emerging Devices and Technologies

Chair: Arijit Banerjee, AMD
Co-Chair: Rasit Topaloglu, IBM

196    10:00AM
1C.1
Achieving Wave Pipelining in Spin Wave Technology
Abdulqader Mahmoud1, Frederic Vanderveken2, Christoph Adelmann2, Florin Ciubotaru2, Said Hamdioui3, Sorin Cotofana3
1TU Delft, 2IMEC, 3Delft University of Technology

205    10:20AM
1C.2
Architecture, Dataflow and Physical Design Implications of 3D-ICs for DNN-Accelerators
Jan Moritz Joseph1, Ananda Samajdar2, Lingjun Zhu2, Rainer Leupers1, Sung Kyu Lim3, Thilo Pionteck4, Tushar Krishna2
1RWTH Aachen University, 2Georgia Institute of Technology, 3Georgia Tech, 4Otto-von-Guericke-University Magdeburg

226    10:40AM
1C.3
RobustONoC: Fault-Tolerant Optical Networks-on-Chip with Path Backup and Signal Re๏ฌ‚ection
Yu-Kai Chuang1, Yong Zhong2, Yi-Hao Cheng2, Bo-Yi Yu2, Shao-Yun Fang2, Bing Li3, Ulf Schlichtmann3
1Technische Universitรคt Mรผnchen, 2National Taiwan University of Science and Technology, 3Technical University of Munich

230    11:00AM
1C.4
Decomposition-Based Watermarking of Quantum Circuits
Vedika Saravanan1 and Samah Saeed2
1City College of New York, City University of New York, 2City College of New York


SESSION 1D

Wednesday April 7

Applied Machine Learning for Hardware Cybersecurity

Chair: Hossein Sayadi, California State University Long Beach
Co-Chair: Mehrdad Aliasgari, California State University Long Beach

271    10:00AM
1D.1
Machine Learning-Assisted Website Fingerprinting Attacks with Side-Channel Information: A Comprehensive Analysis and Characterization
han wang1, Hossein Sayadi2, Avesta Sasan3, Sai Manoj Pudukotai Dinakarrao3, Setareh Rafatirad1, Houman Homayoun3
1university of California, Davis, 2California State University, Long Beach, 3George Mason University

272    10:20AM
1D.2
When Machine Learning Meets Hardware Cybersecurity: Delving into Accurate Zero-Day Malware Detection
Zhangying He1, Tahereh Miari2, Hosein Mohammadi Makrani3, Mehrdad Aliasgari1, Houman Homayoun4, Hossein Sayadi1
1California State University, Long Beach, 2California State Polytechnic University, Pomona, 3University of California, Davis, 4University of California Davis

273    10:40AM
1D.3
Leveraging Deep CNN and Transfer Learning for Side-Channel Attack
Amit Garg and Nima Karimian
San Jose State University

274    11:00AM
1D.4
Monotonic-HMDs: Exploiting Monotonic Features to Defend Against Evasive Malware
Md Shohidul Islam, Behnam Omidi, Khaled N. Khasawneh
George Mason University


SESSION 2A

Wednesday April 7

Low Power Computation Techniques and Concepts

Chair: Marshnil Dave, Lion Semiconductor
Co-Chair: Na Gong, University of South Alabama

215    2:30PM
2A.1
Flash ADC Utilizing Offset Voltage Variation With Order Statistics Based Comparator Selection
Takehiro Kitamura, Mahfuzul Islam, Takashi Hisakado, Osami Wada
Kyoto University

109    2:50PM
2A.2
Self-Learning Analog Comparator with Adaptive Sampling Rate Scheme for Energy Optimization in Continuous Input Monitoring Applications.
G ANAND KUMAR and VEERAMANIKANDAN RAJU
TEXAS INSTRUMENTS INDIA PVT LTD

136    3:10PM
2A.3
ACLA: An Approximate Carry-Lookahead Adder with Intelligent Carry Judgement and Correction
Shobhit Belwal, Rajat Bhattacharjya, Kaustav Goswami, Dip Sankar Banerjee
Indian Institute of Information Technology Guwahati


SESSION 2B

Wednesday April 7

Hardware-Software Co-Design of Embedded AI for Next-Generation IoT Systems

Chair: Mimi Xie, University of Texas at San Antonio
Co-Chair: Abhilash Goyal, Velodyne

249    2:30PM
2B.1
An End-to-end Multi-task Object Detection using Embedded GPU in Autonomous Driving
Shanglin Zhou1, Mimi Xie2, Yufang Jin2, Fei Miao1, Caiwen Ding1
1University of Connecticut, 2The University of Texas at San Antonio

250    2:50PM
2B.2
SAC: A Novel Multi-hop Routing Policy in Hybrid Distributed IoT System based on Multi-agent Reinforcement Learning
Wen Zhang1, Tao Liu2, Mimi Xie3, Jun Zhang4, Chen Pan1
1Texas A&M University-Corpus Christi, 2Lawrence Technological University, 3The University of Texas at San Antonio, 4Harvard University

251    3:10PM
2B.3
Improving DNN Fault Tolerance using Weight Pruning and Differential Crossbar Mapping for ReRAM-based Edge AI
Geng Yuan1, Zhiheng Liao2, Xiaolong Ma1, Yuxuan Cai1, Zhenglun Kong1, Xuan Shen1, Jingyan Fu2, Zhengang Li1, Chengming Zhang3, Hongwu Peng4, Ning Liu1, Ao Ren5, Jinhui Wang6, Yanzhi Wang1
1Northeastern University, 2North Dakota State University, 3Washington State University, 4University of Connecticut, 5Chongqing University, 6University of South Alabama

257    3:30PM
2B.4
Accelerating Transformer-based Deep Learning Models on FPGAs using Column Balanced Block Pruning
Hongwu Peng1, Shaoyi Huang1, Tong Geng2, Ang Li2, Weiwen Jiang3, Hang Liu4, Shusen Wang4, Caiwen Ding1
1University of Connecticut, 2Pacific Northwest National Laboratory, 3University of Notre Dame, 4Stevens Institute of Technology


SESSION 2C.1

Wednesday April 7

Efficient AI Computing

Chair: Hongyu An, Michigan Tech University
Co-Chair: Amey Kulkarni, Nvidia

263    2:30PM
2C.1.1
Regularization-Free Structural Pruning for GPU Inference Acceleration
Chuliang Guo1, Yanbing Yang2, Li Zhang1, Shaodi Wang3, He Li4, Keyu Long2, Xunzhao Yin1, Cheng Zhuo1
1Zhejiang University, 2The Second Research Institute of Civil Aviation Administration of China, Chengdu, China, 3WITIN Tech Co. Ltd., Beijing, China, 4University of Cambridge, Cambridge, UK

264    2:50PM
2C.1.2
Low-power Analog and Mixed-signal IC Design of Multiplexing Neural Encoder in Neuromorphic Computing
Honghao Zheng1, Nima Mohammadi1, Kangjun Bai2, Yang (Cindy) Yi2
1Virginia Tech, Blacksburg, VA, 2Virginia Tech

275    3:10PM
2C.1.3
Machine Learning for Evaluating the Impact of Manufacturing Process Variations in High-Speed Interconnects
Cemil Geyik1, Zhichao Zhang1, Kemal Aygun1, James Aberle2
1Intel Corporation, 2Arizona State University


SESSION 2C.2

Wednesday April 7

EDA Methodologies for Secure and Error Resilient Hardware Design

Chair: Srinivas Katkoori, University of South Florida
Co-Chair: Sheikh Ariful Islam, University of Texas Rio Grande Valley

259    3:30PM
2C.2.1
Secure High-Level Synthesis: Challenges and Solutions
Nitin Pundir1, Farimah Farahmandi2, Mark Tehranipoor2
1Ansys, Inc (Intern), 2University of Florida

164    3:50PM
2C.2.2
An Error Resilient Design Platform for Aggressively Reducing Power, Area and Routing Congestion
Tung-Liang Lin and Sao-Jie Chen
Graduate Institute of Electronics Engineering, National Taiwan University


SESSION 2D

Wednesday April 7

Hardware Security in Systems and Quantum Computing

Chair: Anupam Chattopadhyay, Nanyang Technological University
Co-Chair: TBD, TBD

245    2:30PM
2D.1
Deep Learning assisted Cross-Family Profiled Side-Channel Attacks using Transfer Learning
Dhruv Thapar1, Manaar Alam1, Debdeep Mukhopadhyay2
1Indian Institute of Technology Kharagpur, 2Department of Computer Science and Engineering, Indian Institute of Technology Kharagpur

246    2:50PM
2D.2
Impact of Noise on the Resilience and the Security of Quantum Computing
Abdullah Ash- Saki, Mahabubul Alam, Swaroop Ghosh
Pennsylvania State University

247    3:10PM
2D.3
Sandbox Detection Using Hardware Side Channels
Yehonatan Lusky and Avi Mendelson
Technion

248    3:30PM
2D.4
Trusted Electronic Systems with Untrusted COTS
Shuo Yang, Prabuddha Chakraborty, PATANJALI SLPSK, SWARUP BHUNIA
University of Florida


SESSION PW1

Thursday April 8

Poster and WIP Session 1

Chair: Ali Iranmanesh, Silicon Valley Polytechnic Institute

132    9:35AM
PW1.1
Toward Intelligence in Communication Networks: A Deep Learning Identification Strategy for Radio Frequency Fingerprints
Kangjun Bai1, Clare Thiem2, Nathan McDonald2, Lisa Loomis2, Yang Yi1
1Virginia Tech, 2Air Force Research Laboratory

201    9:40AM
PW1.2
Three-dimensional Memristive Deep Neural Network with Programmable Attention Mechanism
Hongyu An1, Kangjun Bai2, Yang Yi2
1Michigan Technological University, 2Virginia Tech

170    9:45AM
PW1.3
Detection Limit for Intermediate Faults in Memristor Circuits
Rasika Joshi and John Acken
Portland State University

177    9:50AM
PW1.4
Enabling ECC and Repair Features in an eFuse Box for Memory Repair Applications
Miguel F Costa1 and Srikanth Beerla2
1Intel Corporation, 2Intel Technology Pvt Ltd

146    9:55AM
PW1.5
A crosstalk modelling method between a power supply and a nearby signal in high-density interconnection PCBs
Faten Sahel1, Pascal Guilbault1, Farouk Vallette2, Sylvain Feruglio2
1ATOS, 2LIP6

168    10:00AM
PW1.6
Formal Verification Aware Redundant Sequential Logic Optimization to Improve Design Utilization
Rushabh Shah and Krishna Agrawal
Digital Design Engineer, Intel Technology India Pvt. Ltd

173    10:05AM
PW1.7
A Wafer-scale Manufacturing Pathway for Fine-grained Vertical 3D-IC Technology
Sachin Bhat, Sounak (Shaun) Ghosh, Sourabh Kulkarni, Mingyu Li, Csaba Andras Moritz
UMass Amherst


SESSION PW2

Thursday April 8

Poster and WIP Session 2

Chair: Amey Kulkarni, Nvidia

240    9:35AM
PW2.1
Novel Memristor-based Nonvolatile D Latch and Flip-flop Designs
Zhenxing Chang1, Aijiao Cui1, Gang Qu2, Ziming Wang1
1Harbin Institute of Technology Shenzhen Graduate School, 2Univ. of Maryland, College Park

242    9:40AM
PW2.2
Variation Aware Timing Model of CMOS Inverter for an Efficient ECSM Characterization
Lomash Chandra Acharya1, Arvind Kumar Sharma2, Venkatraman Ramakrishnan3, ajoy mandal3, Sudeb Dasgupta4, Anand Bulusu5
1Indian Institute of technology,roorkee, 2University of Minnesota, 3Texas Instruments, 4Associate Professor, IIT Roorkee, 5Indian Institute of Technology Roorkee

232    9:45AM
PW2.3
Reconfiguring the Mux-Based Arbiter PUF using FeFETs
Srinivasa Varadan Ramanujam1 and Wayne Burleson2
1University of MAssachusetts- Amherst, 2UMass- Amherst

156    9:50AM
PW2.4
A Lightweight Delay-based Authentication Scheme for DMA Attack Mitigation
Yutian Gui, Ali Shuja Siddiqui, Geraldine Shirley Nicholas, Marcus Hughes, Fareena Saqib
University of North Carolina at Charlotte

106    9:55AM
PW2.5
3D IC Packaging Utilizing a Metal structure for Heat Reduction, Noise Shielding, and High Interconnect Density
Nahid Mirzaie and Ronald Rohrer
Southern Methodist University

147    10:00AM
PW2.6
Chip Package Co-design and Physical Verification for Heterogeneous Integration.
Rajsaktish Sankaranarayanan, Archanna Srinivasan, Arch Zaliznyak, Sreelekha Mittai
Intel Corporation

197    10:05AM
PW2.7
Analysis and Design of a 5G Multi-Mode Power Amplifier using 130 nm CMOS technology
Marwa Mansour1, Abdelhalim Zekry2, Mohammed K. Ali3, Heba Shawkey1
1Electronics Research Institute, 2Ain shams University, 3Fauyoum University

116    10:10AM
PW2.8
A Comprehensive Multi-Voltage Design Platform for System-Level Validation of Standard Cell Library
Akshay Kamath, Bharath Kumar, Sunil Aggarwal, Subramanian Parameswaran, Mitesh Goyal, Parag Lonkar, Somasunder Sreenath
Samsung Semiconductor India R&D


SESSION PW3

Thursday April 8

Poster and WIP Session 3

Chair: Sara Tehranipoor, Santa Clara University

149    9:35AM
PW3.1
ChipAdvisor: A Machine Learning Approach for Mapping Applications to Heterogeneous Systems
Hiwot Tadese Kassa, Tarunesh Verma, Todd Austin, Valeria Bertacco
University of Michigan

165    9:40AM
PW3.2
Integration of Minimum Energy Point Tracking and Soft Real-Time Scheduling for Edge Computing
Takumi Komori1, Yutaka Masuda1, Jun Shiomi2, Tohru Ishihara1
1Nagoya University, 2Kyoto University

140    9:45AM
PW3.3
Global multi-voltage interface unit for diverse digital logic
Manisha Girish1, Karthik Suman2, Sandeep Motebennur1, Krishna Prasanna1, Prashanth N1
1Intel Corporation, 2Intel Corp

141    9:50AM
PW3.4
Achieving Zero ADC Production Test Time with Self-calibration and BIST
Maher Sarraj, Haydar Bilhan, Wahed Mohammed
Texas Instruments, Inc.

143    9:55AM
PW3.5
LEC Vulnerability On Constant Propagation
Sandeep Kumar Srivastav1, Ming Yi Lim2, Babu Trp2, Jeevan K Y2
1Intel India, 2Intel

166    10:00AM
PW3.6
FPGA Accelerated Parameterized Cache Simulator
Shivani Shah1, Sahithi Meenakshi Vutakuru1, Nanditha Rao2
1International Institute of Information Technology Bangalore, 2IIIT Bangalore

175    10:05AM
PW3.7
Back-Bias Modulated UTBB SOI for System-on-Chip I/O Cells
Ming Yu Chang1, Po Yu Chao2, Meng Hsueh Chiang2
1+886 919181317, 2National Cheng Kung University


SESSION PW4

Thursday April 8

Poster and WIP Session 4

Chair: Abhilash Goyal, Velodyne

190    9:35AM
PW4.1
SALAH: Simulation-Assisted LAyout Hierarchy Construction
Sherif Hany, Emad Hegazi, Hani Ragai
Ain Shams university

209    9:40AM
PW4.2
A New Foe in GPUs: Power Side-Channel Attacks on Neural Network
Hyeran Jeon1, Nima Karimian2, Tamara Lehman3
1University of California Merced, 2San Jose State University, 3University of Colorado Boulder

138    9:45AM
PW4.3
Performance investigation of a Si/Ge Heterojunction Asymmetric Double Gate DLTFET considering Temperature and ITC variations
Suruchi Sharma1, Rikmantra Basu2, Baljit Kaur2
1NIT, 2NIT Delhi

192    9:50AM
PW4.4
Large-Scale Quantum System Design on Nb-based Superconducting Silicon Interconnect Fabric
Yu-Tao Yang and Subramanian Iyer
UCLA

199    9:55AM
PW4.5
On Synthesizing Memristor-Based Logic Circuits in Area-Constrained Crossbar Arrays
Hsin-Tsung Lee1, Chia-Chun Lin1, Yung-Chih Chen2, Chun-Yao Wang3
1National Tsing Hua University, 2Yuan Ze University, 3Dept. CS, National Tsing Hua University

211    10:00AM
PW4.6
Compressing CNNs by Exponent Sharing in Weights using IEEE Single Precision Format
Prachi Kashikar and Sharad Sinha
Indian Institute of Technology Goa

244    10:05AM
PW4.7
Infineon Platform for SoC IO Ring and Package Design
Sathvik Tarikere Sathyanarayana1, Anna-Antonia Berger2, Mahesh Simpy Kumar3, Akbay Erkan2, Ramkrishna Paira3
1Infineon Technologies Pvt Ltd, 2Infineon Technologies AG Neubiberg, Germany, 3Infineon Technologies India Pvt. Ltd, Bangalore, India


SESSION 3A

Thursday April 8

Robust and Efficient AI Computing

Chair: Cindy Yi, Virginia Tech
Co-Chair: Amey Kulkarni, Nvidia

114    10:25AM
3A.1
Diverse Knowledge Distillation (DKD): A Solution for Improving The Robustness of Ensemble Models Against Adversarial Attacks
Ali Mirzaeian1, Jana Kosecka1, Homan Homayoun2, Tinoosh Mohsenin3, Avesta Sasan1
1George Mason University, 2University of California, Davis, 3University of Maryland, Baltimore County

121    10:45AM
3A.2
Conditional Classification: A Solution for Computational Energy Reduction
Ali Mirzaeian1, Sai Manoj Pudukotai Dinakarrao1, Ashkan Vakil1, Homan Homayoun2, Avesta Sasan1
1George Mason University, 2University of California, Davis

135    11:05AM
3A.3
A Lightweight Error-Resiliency Mechanism for Deep Neural Networks
Brunno F. Goldstein1, Victor C. Ferreira1, Sudarshan Srinivasan2, Dipankar Das2, Alexandre S. Nery3, Sandip Kundu4, Felipe M. G. Franรงa1
1Federal University of Rio de Janeiro, 2Intel Labs, 3University of Brasilia, 4University of Massachusetts Amherst

158    11:25AM
3A.4
A Three-dimensional (3D) Memristive Spiking Neural Network (M-SNN) System
Hongyu An1, Mohammad Shah Al-Mamun2, Marius Orlowski2, Yang Yi2
1Michigan Technological University, 2Virginia Tech

207    11:45AM
3A.5
Exploring Fault-Energy Trade-offs in Approximate DNN Hardware Accelerators
Ayesha Siddique1, Kanad Basu2, Khaza Anuarul Hoque1
1University of Missouri, 2University of Texas at Dallas


SESSION 3B

Thursday April 8

EDA Methodologies for Design Planning and Characterization

Chair: Srinivas Katkoori, University of South Florida
Co-Chair: R. Chidambaranathan, Synopsys, Inc.

150    10:25AM
3B.1
Fast and Accurate Library Generation Leveraging Deep Learning for OCV Modelling
Eunice Naswali1, Namhoon Kim1, Pravin Chandran2
1Intel Corporation, 2Intel Technologies India Pvt Ltd

216    10:45AM
3B.2
On the Correlation Between Resource Minimization and Interconnect-related Complexities in High-Level Synthesis
Shantanu Dutt1, Xiuyan Zhang1, Ouwen Shi2
1University of Illinois at Chicago, 2Cadence Design Systems

180    11:05AM
3B.3
Six-track Standard Cell Libraries with Fin Depopulation, Contact over Active Gate, and Narrower Diffusion Break in 7nm Technology
Tzu-Hsuan Wang, Chih-Chun Hsu, Li Kao, Bing-Yu Li, Tung-Chun Wu, Tsao-Hsuan Peng, Rung-Bin Lin
Yuan Ze University

125    11:25AM
3B.4
Fast Thermal Goodness Evaluation of a 3D-IC Floorplan
Satya K. Vendra and Malgorzata Chrzanowska-Jeske
Portland State University

235    11:45AM
3B.5
Mining Message Flows from System-on-Chip Execution Traces
Md Rubel Ahmed1, Hao Zheng1, Parijat Mukherjee2, Mahesh C. Ketkar2, Jin Yang2
1University of South Florida, 2Intel


SESSION 3C

Thursday April 8

Reverse Engineering and Hardware Obfuscation

Chair: Ioannis Savidis, Drexel University
Co-Chair: Nima Karimian, SJSU

172    10:25AM
3C.1
SOMA: Security Evaluation of Obfuscation Methods via Attack Sequencing
Abdulrahman Alaql1, Xinmu Wang2, Md Moshiur Rahman1, SWARUP BHUNIA1
1University of Florida, 2Northwestern Polytechnical University

183    10:45AM
3C.2
ChaoLock: Yet Another SAT-hard Logic Locking using Chaos Computing
Hadi Mardani Kamali1, Kimia Zamiri Azar1, Houman Homayoun2, Avesta Sasan1
1George Mason University, 2University of California Davis

154    11:05AM
3C.3
Profiled Power Analysis Attacks by Efficient Architectural Extension of CNN Implementation
Soroor Ghandali1, Samaneh Ghandali2, Sara Tehranipoor1
1Santa Clara University, 2Google

203    11:25AM
3C.4
Exploring the RISC-V Vector Extension for the Classic McEliece Post-Quantum Cryptosystem
Sabine Pircher1, Johannes Geier2, Alexander Zeh3, Daniel Mueller-Gritschneder2
1HENSOLDT Cyber GmbH, Research and Development; Technical University of Munich, Department of Electrical and Computer Engineering, Professorship of Coding for Communications and Data Storage, 2Technical University of Munich, Department of Electrical and Computer Engineering, Chair of Electronic Design Automation, 3HESOLDT Cyber GmbH, Research and Development

236    11:45AM
3C.5
Defending Misspeculation-based Cache Probe Attacks Using Variable Record Table
Love Sah1, Sheikh Ariful Islam2, Srinivas Katkoori1
1University of South Florida, 2University of Texas Rio Grande Valley


SESSION 3D

Thursday April 8

Application of AI/ML in Hardware Security

Chair: Rajat Subhra Chakraborty, Indian Institute of Technology Kharagpur
Co-Chair: Pranesh Santikellur, Indian Institute of Technology Kharagpur

252    10:25AM
3D.1
Application of Machine Learning in Hardware Trojan Detection
Shamik Kundu1, Xingyu Meng1, Kanad Basu2
1The University of Texas at Dallas, 2University of Texas at Dallas

253    10:45AM
3D.2
TRGP: A Low-Cost Re-Configurable TRNG-PUF Architecture for IoT
Vikash Kumar Rai1, Somanath Tripathy2, Jimson Mathew3
1IIT Patna, 2Indian Institute of Technology, Patna, 3University of Bristol

254    11:05AM
3D.3
Chaogate Parameter Optimization using Bayesian Optimization and Genetic Algorithm
Rabin Yu Acharya1, Noeloikeau F. Charlot2, Md Mahbub Alam3, Fatemeh Ganji4, Daniel Gauthier2, Domenic Forte1
1University of Florida, 2Ohio State University, 3Intel, 4Worcester Polytechnic Institute

255    11:25AM
3D.4
Hardware Trojan Detection Method for Inspecting Integrated Circuits Based on Machine Learning
Yuze Wang1, Peng Liu1, Xiaoxia Han1, Yingtao Jiang2
1Zhejiang University, 2University of Nevada Las Vegas

256    11:45AM
3D.5
Towards automatic and portable data loading template attacks on microcontrollers
Unai Rioja1, Lejla Batina2, Jose Luis Flores3, Igor Armendariz3
1Radboud University, 2Radboud University Nijmegen, 3Ikerlan Technological Research Centre


SESSION 4A

Thursday April 8

Design Techniques & Methodologies for an Energy-Efficient System

Chair: Harsh Patel, AMD
Co-Chair: Georgios Keramidas, Think Silicon

144    1:40PM
4A.1
MACcelerator: Approximate Arithmetic Unit for Computational Acceleration
Alice Sokolova1, Mohsen Imani2, Andrew Huang1, Ricardo Garcia1, Justin Morris3, Tajana Rosing4, Baris Aksanli5
1University of California San Diego, 2University of California Irvine, 3University of California, San Diego, 4UCSD, 5San Diego State University

181    2:00PM
4A.2
Towards Row Sensitive DRAM Refresh through Retention Awareness
Tanmay Goel1, Divyansh Maura2, Kaustav Goswami3, Shirshendu Das4, Dip Sankar Banerjee3
1๐˜๐˜ฏ๐˜ฅ๐˜ช๐˜ข๐˜ฏ ๐˜๐˜ฏ๐˜ด๐˜ต๐˜ช๐˜ต๐˜ถ๐˜ต๐˜ฆ ๐˜–๐˜ง ๐˜๐˜ฏ๐˜ง๐˜ฐ๐˜ณ๐˜ฎ๐˜ข๐˜ต๐˜ช๐˜ฐ๐˜ฏ ๐˜›๐˜ฆ๐˜ค๐˜ฉ๐˜ฏ๐˜ฐ๐˜ญ๐˜ฐ๐˜จ๐˜บ, ๐˜Ž๐˜ถ๐˜ธ๐˜ข๐˜ฉ๐˜ข๐˜ต๐˜ช, 2IIIT-G, 3Indian Institute of Information Technology Guwahati, 4Indian Institute of Technology Ropar

208    2:20PM
4A.3
HardCompress: A Novel Hardware-based Low-power Compression Scheme for DNN Accelerators
Ayush Arunachalam1, Shamik Kundu1, ARNAB RAHA2, Suvadeep Banerjee3, Suriya Natarajan2, Kanad Basu1
1University of Texas at Dallas, 2Intel Corporation, 3Intel Labs, Intel

214    2:40PM
4A.4
Brightening the Optical Flow through Posit Arithmetic
Vinay Saxena1, Ankitha Reddy1, Jonathan Neudorfer2, John Gustafson3, Sangeeth S. Nambiar4, Rainer Leupers5, Farhad Merchant6
1Corporate Research, Robert Bosch, Bangalore, 2Robert Bosch GmbH, 3National University of Singapore, 4Robert Bosch, 5RWTH Aachen University, 6Institute for Communication Technologies and Embedded Systems, RWTH Aachen University

239    3:00PM
4A.5
DAMUS: Dynamic Allocation based on Write Frequency in MUlti-Retention STT-RAM based Last Level Caches
Mayank Baranwal1, Udbhav Chugh1, Shivang Dalal1, Sukarn Agarwal2, Hemangee Kapoor1
1Indian Institute of Technology Guwahati, 2Indian Institute of Technology (BHU) Varanasi


SESSION 4B

Thursday April 8

CAD for System Analysis and Optimization

Chair: Srini Krishnamoorthy, Intel, Bangalore
Co-Chair: Murthy Palla, Synopsys Inc.

185    1:40PM
4B.1
A Novel NBTI-Aware Chip Remaining Lifetime Prediction Framework Using Machine Learning
Yu-Guang Chen1, Ing-Chao Lin2, Yong-Che Wei3
1National Central University, 2National Cheng Kung University, 3Yuan Ze University

210    2:00PM
4B.2
Minimally Allocating Always-on State Retention Storage for Supporting Power Gating Circuits
Soomin Kim and Taewhan Kim
Seoul National University

160    2:20PM
4B.3
Automatic Generation of Translators for Packet-Based and Emerging Protocols
Brian Crafton1, Arijit Raychowdhury1, Sung Kyu Lim2
1Georgia Institute of Technology, 2Georgia Tech

258    2:40PM
4B.4
SoC Trust Validation Using Assertion-Based Security Monitors
Khitam Alatoun1, Bharath Shankaranarayanan1, Shanmukha Murali Achyutha2, Ranga Vemuri2
1University of Cincinnati, Cincinnati, Ohio, 2University Of Cincinnati

227    3:00PM
4B.5
Analysis of Attack Surfaces and Practical Attack Examples in Open Source FPGA CAD Tools
Sandeep Sunkavilli, Zhiming Zhang, Qiaoyan Yu
University of New Hampshire


SESSION 4C

Thursday April 8

Memory and Architecture Level Security

Chair: Fareena Saqib, University of North Carolina at Charlotte
Co-Chair: Nima Karimian, SJSU

202    1:40PM
4C.1
True Random Number Generation using Latency Variations of Commercial MRAM Chips
Farah Ferdaus1, Bashir Mohammad Sabquat Bahar Talukder1, Mehdi Sadi2, Md Tauhidur Rahman1
1Florida International University, 2Auburn University

148    2:00PM
4C.2
CARE: Lightweight Attack Resilient Secure Boot Architecture with Onboard Recovery for RISC-V based SOC
Avani Dave1, Nilanjan Banerjee2, Chintan Patel3
1University of Maryland Baltimore County, 2Assistant Professor, UMBC, 3UMBC

238    2:20PM
4C.3
SeNonDiv: Securing Non-Volatile Memory using Hybrid Memory and Critical Data Diversion
Arijit Nath1, Manik B Bhosle2, Hemangee Kapoor3
1IIT Guwahati, India, 2IIT Guwahati, 3Indian Institute of Technology Guwahati

142    2:40PM
4C.4
Reliable Strong PUF Enrollment and Operation with Temperature and Voltage Optimization
Kleber Stangherlin and Manoj Sachdev
University of Waterloo

123    3:00PM
4C.5
Flush-Reload Attack and its Mitigation on an FPGA Based Compressed Cache Design
Prashant Mata1 and Nanditha Rao2
1International Institute of Information Technology Bangalore, 2IIIT Bangalore


SESSION 4D.1

Thursday April 8

Solving SoC/Chiplets Communication and Computation Challenges

Chair: Tobias Gemmeke, Aachen University
Co-Chair: Rakesh Mahto, Computer Eng. Program

260    1:40PM
4D.1.1
A Reconfigurable Asynchronous SERDES for Heterogenous Chiplet Interconnects
Jainaveen Sundaram Priya1, Srinivasan Gopal1, Erika Ramirez Lozano1, Thomas P Thomas1, Edward Burton1, Tanay Karnik2
1Intel Corporation, 2Intel

261    2:00PM
4D.1.2
Trends and Opportunities for SRAM Based In-Memory and Near-Memory Computation
Srivatsa Srinivasa1, Jainaveen Sundaram Priya2, Dileep Kurian3, Srinivasan Gopal2, Anuradha Srinivasan1, Vijaykrishnan Narayanan4, Tanay Karnik1
1Intel, 2Intel Corporation, 3Intel technologies, 4Penn State University


SESSION 4D.2

Thursday April 8

In-Memory and Quantum Computing Technologies

Chair: Rasit Topaloglu, IBM
Co-Chair: Vita Pi-Ho Hu, National Taiwan University

269    2:20PM
4D.2.1
Cross-layer Optimization Strategy for Energy-efficient and Variation-aware In-memory Computing
Tuo-Hung Hou
National Chiao Tung University

270    2:40PM
4D.2.2
Challenges for Building a Silicon-based Quantum Computer
Jonathan Baugh
University of Waterloo


SESSION 4D.3

Thursday April 8

Reliability in Neural Networks

Chair: Rasit Topaloglu, IBM
Co-Chair: Vita Pi-Ho Hu, National Taiwan University

262    3:00PM
4D.3.1
Runtime Long-Term Reliability Management Using Stochastic Computing in Deep Neural Networks
Yibo Liu1, Shuyuan Yu2, Shaoyi Peng2, Sheldon Tan3
1University of Califronia, Riverside, 2University of California, Riverside, 3University of California at Riverside


SESSION 5A

Friday April 9

IoT and Wearable Computing

Chair: Prabha Sundaravadivel, University of Texas at Tyler
Co-Chair: TBD, TBD

265    11:10AM
5A.1
Towards Internet-of-Things for Wearable Neurotechnology
Salma Elmalaki1, Berken Utku Demirel1, Mojtaba Taherisadr1, Sara Stern-Nezer1, Jack J. Lin1, Mohammad Al Faruque2
1University of California, Irvine, California, 2University of California Irvine

266    11:30AM
5A.2
Real-Time CNN Based ST Depression Episode Detection Using Single ECG-Lead
LAKSHMAN TAMIL1, Erhan Tiryaki1, Akshay Sonawane2
1University of Texas at Dallas, 2The University of Texas at Dallas

267    11:50AM
5A.3
An Interactive IoT-based framework for Resource Management in Assisted living during pandemic
Parker Wilmoth and Prabha Sundaravadivel
University of Texas at Tyler

268    12:10PM
5A.4
SolicitudeSavvy: An IoT-based edge intelligent framework for monitoring anxiety in real-time
Prabha Sundaravadivel, Parker Wilmoth, Ashton Fitzgerald
University of Texas at Tyler