ISQED 2020: Program

Revised 3/18/2020, Rev. 6


SESSION 1A

Wednesday March 25

PUF and Security in Emerging Systems

Chair: Nima Karimian, San Jose State University
Co-Chair: Jiliang Zhang, Hunan University

10:25AM
1A.1
Efficient Transfer Learning on Modeling Physical Unclonable Functions
Qian Wang1, Omid Aramoon1, Pengfei Qiu2, Gang Qu3
1University of Maryland, 2Research Institute of Information Technology & TNList, Tsinghua University, Beijing, China, 3Univ. of Maryland, College Park

10:45AM
1A.2
SeqL: Secure Scan-Locking for IP Protection
Seetal Potluri1, Aydin Aysu1, Akash Kumar2
1North Carolina State University, 2Technical University of Dresden

11:05AM
1A.3
A Survey of DMFBs Security: State-of-the-Art Attack and Defense
Chen Dong1, Lingqing Liu1, Huangda Liu1, Wenzhong Guo1, Xing Huang2, Sihuang Lian1, Ximeng Liu1, Tsung-Yi Ho2
1Fuzhou University, 2National Tsing Hua University

11:25AM
1A.4
How to retrieve PUF response from a fabricated chip securely?
Aijiao Cui and yuxi Wang
Harbin Institute of Technology (Shenzhen)


SESSION 1B

Wednesday March 25

Artificial Intelligence for Hardware Security

Chair: Hassan Salmani, Howard University
Co-Chair: Amey Kulkarni and Abhilash Goyal, NVIDIA Inc. / Velodyne LiDAR

10:25AM
1B.1
Code-Bridged Classifier (CBC): A Low or Negative Overhead Defense for Making a CNN Classifier Robust Against Adversarial Attacks
Farnaz Behnia1, ali mirzaeian1, Mohmmad Sabokrou2, Sai Manoj1, Tinoosh Mohsenin3, Khaled Khasawneh1, Liang Zhao1, Houman Homayoun4, Avesta Sasan1
1George Mason University, 2self, 3University of Maryland Baltimore County, 4University of California Davis

10:45AM
1B.2
A Survey on Neural Trojans
Yuntao Liu1, Ankit Mondal2, Michael Zuzak1, Abhishek Chakraborty3, Nina Jacobsen3, Daniel Xing3, Ankur Srivastava3
1University of Maryland, College Park, 2University of Maryland, 3University of Maryland College Park

11:05AM
1B.3
LASCA: Learning Assisted Side Channel Delay Analysis for Hardware Trojan Detection
Ashkan Vakil1, Farnaz Behnia1, Ali Mirzaeian1, Houman Homayoun2, Naghmeh Karimi3, Avesta Sasan1
1George Mason University, 2University of California Davis, 3University of Maryland Baltimore County

11:25AM
1B.4
Rethinking FPGA Security in the New Era of Artificial Intelligence
Xiaolin Xu
University of Illinois at Chicago


SESSION 1C

Wednesday March 25

Reliable Electronics: From EM to Approximate Computing

Chair: Raviprakash Rao, Texas Instruments
Co-Chair: Marshnil Dave, Lion Semiconductor

10:25AM
1C.1
CDS-RSRAM: a Reconfigurable SRAM Architecture to Reduce Read Power with Column Data Segmentation
Han Xu1, Ziru Li1, Fei Qiao2, Qi Wei1, Xinjun Liu1, Huazhong Yang1
1Tsinghua University, 2Dept.of Electronic Engineering in Tsinghua University, Beijing National Research Center for Information Science and Technology (BNRist)

10:45AM
1C.2
RARA: Dataflow Based Error Compensation Methods with Runtime Accuracy-Reconfigurable Adder
Shujuan Yin1, Zheyu Liu2, Guihong Li3, Fei Qiao2, Qi Wei4, Yuanfeng Wu5, Lianru Gao5, Xinjun Liu6, Huazhong Yang2
1Baotou Teachers' College, Inner Mongolia University of Science & Technology, Baotou, China;Tsinghua University, Beijing, China, 2Tsinghua University, Beijing, China;Beijing National Research Center for Information Science and Technology, 3University of Texas at Austin;Tsinghua University, Beijing, China, 4Tsinghua University, Beijing, China, 5Key Laboratory of Digital Earth Science, Aerospace Information Research Institute, Chinese Academy of Sciences, Beijing, China;, 6Tsinghua University, Beijing, China;

11:05AM
1C.3
Low-power Accuracy-configurable Carry Look-ahead Adder Based on Voltage Overscaling Technique
Hassan Afzali-Kusha1, Mehdi Kamal2, Massoud Pedram1
1USC, 2University of Tehran

11:25AM
1C.4
Design Space Exploration Driven by Lifetime Concerns due to Electromigration
Frank Wolff1, Daniel Weyer1, Chris Papachristou1, William Clay2
1Case Western Reserve University, 2C.W. Consultants


SESSION 1D

Wednesday March 25

Magnets and Spins for Better Memory

Chair: Rasit Topaloglu, IBM
Co-Chair: Sara Tehranipoor, Santa Clara University

10:25AM
1D.1
Integrated CAM-RAM Functionality using Ferroelectric FETs
Sumitha George1, Nicolas Jao2, Akshay Krishna Ramanathan2, Xueqing Li3, Sumeet Kumar Gupta4, John Sampson2, Vijaykrishnan Narayanan2
1Pennsylvania State University, 2PSU, 3Tsinghua University, 4Purdue University

10:45AM
1D.2
Statistical Analysis of Temperature Variability on the Write Efficiency of Spin-Orbit Torque MRAM using Polynomial Chaos Metamodels
Sonal Shreya1, Surila Guglani1, Brajesh Kumar Kaushik2, Sourajeet Roy1
1Indian Institute of Technology Roorkee, 2I.I.T-Roorkee

11:05AM
1D.3
Multi-Bit Read and Write Methodologies for Diode-MTJ Crossbar Array
Mohammad Nasim Imtiaz Khan and Swaroop Ghosh
Pennsylvania State University


SESSION 2A

Wednesday March 25

Logic Obfuscation and Fault Attacks

Chair: Samah Saeed, City University of New York
Co-Chair: Gang Qu, University of Maryland

3:10PM
2A.1
Strong Anti-SAT: Secure and Effective Logic Locking
Yuntao Liu1, Michael Zuzak1, Yang Xie1, Abhishek Chakraborty2, Ankur Srivastava1
1University of Maryland, College Park, 2University of Maryland College Park

3:30PM
2A.2
EM Fault Injection on ARM and RISC-V
Mahmoud A. Elmohr, Haohao Liao, Catherine H. Gebotys
University of waterloo

3:50PM
2A.3
On Securing Scan Obfuscation Strategies Against ScanSAT Attack
RAJIT KARMAKAR1 and Santanu Chattopadhyay2
1INDIAN INSTITUTE OF TECHNOLOGY KHARAGPUR, 2IIT Kharagpur

4:10PM
2A.4
Fault Attack Detection in AES by Monitoring Power Side-Channel Statistics
Ahish Shylendra1, Priyesh Shukla1, Swarup Bhunia2, Amit Ranjan Trivedi1
1University of Illinois at Chicago, 2University of Florida


SESSION 2B

Wednesday March 25

Reliability and Physical Design

Chair: Siddhartha Nath, Synopsys Inc.
Co-Chair: Shilpa Pendyala, Intel Corp.

3:10PM
2B.1
Accurate Estimation of Dynamic Timing Slacks using Event-Driven Simulation
Dimitrios Garyfallou1, Ioannis Tsiokanos2, Nestor Evmorfopoulos1, Georgios Stamoulis1, Georgios Karakonstantis2
1University of Thessaly, 2Queen's University Belfast

3:30PM
2B.2
A Layout-Based Soft Error Rate Estimation and Mitigation in the Presence of Multiple Transient Faults in Combinational Logic
Christos Georgakidis, Georgios Ioannis Paliaroutis, Nikolaos Sketopoulos, Pelopidas Tsoumanis, Christos Sotiriou, Nestor Evmorfopoulos, Georgios Stamoulis
University of Thessaly - Department of Electrical and Computer Engineering

3:50PM
2B.3
Stress-Induced Performance Shifts in Flexible System-in-Foils Using Ultra-Thin Chips
Tengtao Li and Sachin S. Sapatnekar
University of Minnesota

4:10PM
2B.4
A Methodology for Reusable Physical Design
Edward Wang, Colin Schmidt, Adam Izraelevitz, John Wright, Borivoje Nikolic, Elad Alon, Jonathan Bachrach
University of California, Berkeley


SESSION 2C

Wednesday March 25

Novel System Design Techniques

Chair: Stephen Heinrich-Barna, Texas Instruments
Co-Chair: Vinod Viswanath, Real Intent, Inc.

3:10PM
2C.1
Error Coverage, Reliability and Cost Analysis of Fault Tolerance Techniques for 32-bit Memory in Space Applications
david freitas1, david mota1, Daniel Simões1, Clailton Lopes1, roger goerl2, César Marcon3, Jarbas Silveira4, João Mota1
1ufc, 2PUC-RS, 3PUCRS, 4Universidade Federal do Ceará

3:30PM
2C.2
Vulnerability-aware Dynamic Reconfiguration of Partially Protected Caches
Yuanwen Huang and Prabhat Mishra
University of Florida

3:50PM
2C.3
Alleviating Bottlenecks for DNN Execution on GPUs via Opportunistic Computing
Xianwei Cheng1, Hui Zhao2, Mahmut Kandemir3, saraju mohanty1, Beilei Jiang2
1University of North Texas, 2UNT, 3PSU

4:10PM
2C.4
Learning-Enabled NoC Design for Heterogeneous Manycore Systems
Ryan Kim
Colorado State University


SESSION 2D

Wednesday March 25

Analog, Nanotube, and Quantum Relevance of Machine Learning

Chair: Sumitha George, Pennsylvania State University
Co-Chair: Kurt Schwartz, Texas Instruments

3:10PM
2D.1
Improving Reliability of Quantum True Random Number Generator using Machine Learning
Abdullah Ash- Saki, Mahabubul Alam, Swaroop Ghosh
Pennsylvania State University

3:30PM
2D.2
Degradation of Classification Accuracy due to Imperfections and Limited Precision and their Mitigation Approaches in Mixed-signal Neuromorphic Image Classifiers
Dmitri Strukov
UC Santa Barbara

3:50PM
2D.3
Reducing Impact of CNFET Process Imperfections on Shape of Activation Function by Using Connection Pruning and Approximate Neuron Circuit
Kaship Sheikh and Lan Wei
University of Waterloo


SESSION PW

Thursday March 26

Poster & WIP Session

Chair: Sara Tehranipoor, Santa Clara University
Co-Chair: Vinod Viswanath, Real Intent, Inc.

08:45AM
PW.1
Compression or Corruption? A Study on the Effectsof Transient Faults on BNN Inference Accelerators
Navid Khoshavi1, Connor Broyles1, Yu Bi2
1Florida Polytechnic University, 2University of Rhode Island

08:50AM
PW.2
A Low-Power LSTM Processor for Multi-Channel Brain EEG Artifact Detection
Hasib-Al- Rashid1, Nitheesh Kumar Manjunath1, Hirenkumar Paneliya1, Morteza Hosseini1, W. David Hairston2, Tinoosh Mohsenin1
1University of Maryland Baltimore County, 2Human Research and Engineering Directorate, US Army Research Lab

08:55AM
PW.3
Formal Verification of a Fully Automated Out-of-Plane Cell Injection System
Iram Tariq Bhatti and Osman Hasan
School of Electrical Engineering and Computer Science (SEECS), National University of Sciences and Technology (NUST)

09:00AM
PW.4
An NBTI-aware Task Parallelism Scheme for Improving Lifespan of Multi-core Systems
Yu-Guang Chen1, Yu-Yi Lin2, Ing-Chao Lin3
1National Central University, 2Yuan Ze University, 3National Cheng Kung University

09:05AM
PW.5
Synthesis and Generalization of Parallel Algorithms Considering Communication Constraints
Akihiro Goda1, Yukio Miyasaka2, Amir Masoud Gharehbaghi3, Masahiro Fujita2
11-4-18, 2University of Tokyo, 3The University of Tokyo

09:10AM
PW.6
Optimal choice of waveform for library characterization for accurate delay calculation
Ajoy Mandal and Saili Shete
Texas Instruments

09:15AM
PW.7
Spintronics-based Reconfigurable Ising Model Architecture
Ankit Mondal and Ankur Srivastava
University of Maryland

09:20AM
PW.8
A Statistical Methodology for Post-Fabrication Weight Tuning in a Binary Perceptron
Elham Azari1, Ankit Wagle1, Sunil Khatri2, Sarma Vrudhula1
1Arizona State University, 2Texas A&M University

09:25AM
PW.9
Analytical Estimation and Localization of Hardware Trojan Vulnerability in RTL Designs
Sheikh Ariful Islam, Love Kumar Sah, Srinivas Katkoori
University of South Florida

09:30AM
PW.10
SATConda: SAT to SAT-Hard Clause Translator
Rakibul Hassan, Gaurav Kolhe, Setareh Rafatirad, Houman Homayoun, Sai Manoj Pudukotai Dinakarrao
George Mason University

09:35AM
PW.11
Entropy-Shield: Side-Channel Entropy Maximization for Timing-based Side-Channel Attacks
Abhijitt Dhavlle1, Raj Mehta2, Setareh Rafatirad2, Houman Homayoun2, Sai Manoj Pudukotai Dinakarrao2
1George Mason University , VA, USA, 2George Mason University

09:40AM
PW.12
Integrated Implantable Electrode Array and Amplifier Design for Single-chip Wireless Neural Recordings
Hengying Shan1, Nathan Conrad1, Shabnam Ghotbi2, John Peterson1, Saeed Mohammadi1
1Purdue University, 2Purdue Univeristy

09:45AM
PW.13
IMU-based Smart Knee Pad for Walking Distance and Stride Count Measurement
Teng-Chia Wang1, Yan-Ping Chang1, Chun-Jui Chen1, Yun-Ju Lee1, Chia-Chun Lin1, Yung-Chih Chen2, Chun-Yao Wang3
1National Tsing Hua University, 2Yuan Ze University, 3Dept. CS, National Tsing Hua University

09:50AM
PW.14
Secure, Scalable and Low-Power Junction Temperature Sensing for Multi-Processor Systems-on-Chip
G ANAND KUMAR
TEXAS INSTRUMENTS INDIA PVT LTD

09:55AM
PW.15
Saving Time and Energy Using Partial Flash Memory Operations in Low-Power Microcontrollers
Prawar Poudel and Aleksandar Milenkovic
The University of Alabama in Huntsville

10:00AM
PW.16
Variation-Aware Heterogeneous Voltage Regulation for Multi-Core Systems-on-a-Chip with On-Chip Machine Learning
Joseph Riad1, Jianhao Chen1, Edgar Sánchez-Sinencio1, Peng Li2
1Texas A&M University, 2UC Santa Barbara

10:05AM
PW.17
Performance Boost Scheme with Activated Dummy Fin in 12-nm FinFET Technology for High-Performance Logic Application
Motoi Ichihashi, Jia Zeng, Youngtag Woo, Xuelian Zhu, Chenchen Wang, James Mazza
GLOBALFOUNDRIES

10:10AM
PW.18
A Morphable Physically Unclonable Function and True Random Number Generator using a Commercial Magnetic Memory
Mohammad Nasim Imtiaz Khan1, Chak Yuen Cheng2, Sung Hao Lin2, Abdullah Ash- Saki1, Swaroop Ghosh1
1Pennsylvania State University, 2The Pennsylvania State University

10:15AM
PW.19
Extracting Power Signature from Low Dropout Voltage Regulator for IoT Security
David Thompson and Haibo Wang
Southern Illinois University Carbondale


SESSION 3A

Thursday March 26

Smart Sensors

Chair: Pradeep Chawda, Apple, Inc.
Co-Chair: Abhronil Sengupta, Pennsylvania State University

10:20AM
3A.1
Rehabilitation System for Limbs using IMUs
Chun-Jui Chen1, Yi-Ting Lin1, Chia-Chun Lin1, Yung-Chih Chen2, Yun-Ju Lee1, Chun-Yao Wang3
1National Tsing Hua University, 2Yuan Ze University, 3Dept. CS, National Tsing Hua University

10:40AM
3A.2
Piezoelectric CMOS Charger: Highest Output Power Design
Siyu Yang and Gabriel Rincon-Mora
Georgia Institute of Technology

11:00AM
3A.3
Highest Wireless Power: Inductively Coupled Or RF?
Nan Xing and Gabriel Rincón-Mora
Georgia Institute of Technology

11:20AM
3A.4
Self-Powered IOT System for Edge Inference
Dileep Kurian1, Tanay Karnik2, Mukesh Bhartiya1, saransh Chhabra2, Saksham Soni2, Jaykant Timbadiya2, Suhwan Kim2, Krishnan Ravichandran2, Ankit Gupta2, Angela Nicoara3
1Intel technologies, 2Intel, 3HSLU

11:40AM
3A.5
WiFi for the Internet of Things: Towards Enhancing Energy Efficiency and Timeliness
Behnam Dezfouli
Santa Clara University


SESSION 3B

Thursday March 26

Artificial Intelligence for Hardware Acceleration

Chair: Amey Kulkarni, NVIDIA Inc.
Co-Chair: Abhilash Goyal, Xiaosen Liu, Velodyne LiDAR / Intel Corp.

10:20AM
3B.1
Optimization using Machine Learning for Heterogeneous Systems
Madhavan Swaminathan
Georgia Tech

10:40AM
3B.2
BNN Pruning: Pruning Binary Neural Network Guided by Weight Flipping Frequency
Yixing Li and Fengbo Ren
Arizona State University

11:00AM
3B.3
CSCMAC - Cyclic Sparsely Connected Neural Network Manycore Accelerator
Hirenkumar Paneliya1, Morteza Hosseini1, Avesta Sasan2, Houman Homayoun2, Tinoosh Mohsenin1
1University of Maryland Baltimore County, 2George Mason University

11:20AM
3B.4
FeFET-Based Neuromorphic Architecture with On-Device Feedback Alignment Training
Sumin Jo1, Abdullah Zyarah2, Santoch Kurinec2, Kai Ni2, Fatima Tuz Zohora1, Dhireesha Kudithipudi1
1University of Texas at San Antonio, 2Rochester Institute of Technology

11:40AM
3B.5
An Efficient Deep Reinforcement Learning Framework for UAVs
Shanglin Zhou1, Bingbing Li1, Caiwu Ding2, Lu Lu2, Caiwen Ding1
1University of Connecticut, 2New Jersey Institute of Technology


SESSION 3C.1

Thursday March 26

Circuits and Systems for Quantum Computing

Chair: Pravin Kumar Venkatesan, Velodyne LiDAR
Co-Chair: Abhilash Goyal and Amey Kulkarni, Velodyne LiDAR / NVIDIA Inc.

10:20AM
3C.1.1
Integration and Evaluation of Quantum Accelerators for Data-Driven User Functions
Thomas Hubregtsen1, Christoph Segler2, Josef Pichlmeier3, Aritra Sarkar4, Thomas Gabor3, Koen Bertels4
1BMW Research, 2BMW Group Research, New Technologies, Innovations, 3Ludwig Maximilian University of Munich, 4Delft University of Technology

10:40AM
3C.1.2
Hierarchical Improvement of Quantum Approximate Optimization Algorithm for Object Detection
Junde Li, Mahabubul Alam, Abdullah Ash-Saki, Swaroop Ghosh
Pennsylvania State University

11:00AM
3C.1.3
Cryo-CMOS IC Design and Simulation for Quantum Computing
Jeroen van Dijk1, Pascal 't Hart1, Rosario Incandela1, Bishnu Patra1, Masoud Babaie1, Edoardo Charbon2, Fabio Sebastiano1, Andrei Vladimirescu3
1TU Delft, 2EPFL, Intel Corp, Kavli Institute Delft, 3University of California at Berkeley/ISEP


SESSION 3C.2

Thursday March 26

Artificial Intelligence for Hardware Applications

Chair: Pravin Kumar Venkatesan, Velodyne LiDAR
Co-Chair: Abhilash Goyal and Amey Kulkarni, Velodyne LiDAR / NVIDIA Inc.

11:20AM
3C.2.1
Efficient Training of Deep Convolutional Neural Networks by Augmentation in Embedding Space
Mohammad Saeed Abrishami
University of Southern California

11:40AM
3C.2.2
Impacts of Machine Learning on Counterfeit IC Detection and Avoidance Techniques
Omid Aramoon1 and Gang Qu2
1University of Maryland, 2Univ. of Maryland, College Park


SESSION 3D.1

Thursday March 26

Machine Learning in Conventional and Emerging Platforms

Chair: Sicheng Li, HPE
Co-Chair: Navid Khoshavi Najafabadi, Florida Polytechnic University

10:20AM
3D.1.1
TS-EFA: Resource-efficient High-precision Approximation of Exponential Functions Based on Template-scaling Method
Jeeson Kim, Vladimir Kornijcuk, Doo Seok Jeong
Hanyang University


SESSION 3D.2

Thursday March 26

Neuromorphic Computing and Cognitive Computing in Hardware

Chair: Sicheng Li, HPE
Co-Chair: Navid Khoshavi Najafabadi, Florida Polytechnic University

10:40AM
3D.2.1
Accurate and Efficient Quantized Reservoir Computing System
Shiya Liu, Yibin Liang, Victor Gan, Lingjia Liu, Yang Yi
Virginia Tech

11:00AM
3D.2.2
A Scalable FPGA Engine for Parallel Acceleration of Singular Value Decomposition
Yu Wang1, Jeong-Jun Lee2, Yu Ding1, Peng Li3
1Texas A&M University, 2University of California at Santa Barbara, 3University of California, Santa Barbara

11:20AM
3D.2.3
Deep Neural Network Based Speech Recognition Systems under Noise Perturbations
Yifang Liu
Smule Inc


SESSION 4A.1

Thursday March 26

3D Integration & Advanced Packaging

Chair: Ali Shahi, Global Foundries
Co-Chair: Sreejit Chakravarty, Intel Corp.

3:10PM
4A.1.1
Electrostatic Discharge Physical Verification of 2.5D/3D Integrated Circuits
Dina Medhat1, Mohamed Dessouky1, DiaaEldin Khalil2
1Mentor, a Siemens Business / ECE Department, Faculty of Engineering, Ain Shames University, 2ECE Department, Faculty of Engineering, Ain Shames University


SESSION 4A.2

Thursday March 26

Circuit and System Diagnosis and Validation

Chair: Sreejit Chakravarty, Intel Corp.
Co-Chair: Ali Shahi, Global Foundries

3:30PM
4A.2.1
Mining Message Flow Specifications using Recurrent Neural Network for System-on-Chip Designs
Yuting Cao1, Mahesh Ketkar2, Parijat Mukherjee2, Hao Zheng1, Jin Yang3
1University of South Florida, 2Intel Corporation, 3Intel Corportation

3:50PM
4A.2.2
Diagnostic Circuit for Latent Fault Detection in SRAM Row Decoder
Shivendra Singh1, Varshita Gupta1, Anuj Grover1, Kedar Janardan Dhori2
1Indraprastha Institute of Information Technology Delhi, 2STMicroelectronics Pvt. Ltd., Greater Noida,

4:10PM
4A.2.3
Signal Selection Heuristics for Post-Silicon Validation
Suprajaa Tummala, Xiaobang Liu, Ranga Vemuri
University of Cincinnati


SESSION 4B

Thursday March 26

Energy Oriented System Design

Chair: Sourav Das, Intel Corp.
Co-Chair: Sara Tehranipoor, Santa Clara University

3:10PM
4B.1
DOVA: A Dynamic Overwriting Voltage Adjustment for STT-RAM L1 Cache
Jinbo Chen1, Keren Liu1, Xiaochen Guo2, Patrick Girard3, Yuanqing Cheng1
1Beihang University, 2Lehigh University, 3CNRS, LIRMM

3:30PM
4B.2
Energy-Efficient Edge Detection using Approximate Ramanujan Sums
Archisman Ghosh1, Gaurav Kumar K1, Debaprasad De2, ARNAB RAHA3, Mrinal Kanti Naskar1
1Jadavpur University, 2Techno India, 3Intel Corporation

3:50PM
4B.3
Comparative Framework for the Analysis of Thermal and Resource Management Algorithms for Multi-Core Architectures
Moeez Akmal1, Muhammad Sarmad Saeed1, Muhammad Usama Sardar2, Hareem Shafi1, Osman Hasan1, Heba Khdr3, Jorg Henkel3
1National University of Sciences and Technology, Islamabad, Pakistan, 2Technische Universität Dresden, 3Karlsruhe Institute of Technology, Karlsruhe, Germany

4:10PM
4B.4
Energy-aware Scheduling of Jobs in Heterogeneous Cluster Systems Using Deep Reinforcement Learning
Amirhossein Esmaili1 and Massoud Pedram2
1University of Southern California, 2USC


SESSION 4C

Thursday March 26

Energy Efficient Designs for Future Computing

Chair: Ahmedullah Aziz, University of Tennessee, Knoxville
Co-Chair: Joseph Friedman, UT Dallas

3:10PM
4C.1
Two-Graph Approach to Temperature Dependent Skew Scheduling
Mineo Kaneko
Japan Advanced Institute of Science and Technology

3:30PM
4C.2
Spintronics Enabled Neuromorphic Computing: Hardware-Algorithm Co-Design
Kezhou Yang1, Sen Lu1, Abhronil Sengupta2
1Penn State University, 2The Pennsylvania State University

3:50PM
4C.3
EGAN: A Framework for Exploring the Accuracy vs. Energy Efficiency Trade-off in Hardware Implementation of Error Resilient Applications
Marzieh Vaeztourshizi1, Mehdi Kamal2, Massoud Pedram1
1USC, 2University of Tehran

4:10PM
4C.4
Insulator-Metal Transition Material Based Artificial Neurons: A Design Perspective
Ahmedullah Aziz1 and Kaushik Roy2
1University of Tennessee, Knoxville, 2Purdue University


SESSION 4D

Thursday March 26

Reliability and Physical Design

Chair: Shilpa Pendyala, Intel Corp.
Co-Chair: Siddhartha Nath, Synopsys Inc.

3:10PM
4D.1
NN-PARS: A Parallelized Neural Network Based Circuit Simulation Framework
Mohammad Saeed Abrishami1, Hao Ge1, Justin Calderon1, Massoud Pedram2, Shahin Nazarian1
1University of Southern California, 2USC

3:30PM
4D.2
Layout Capacitance Extraction Using Automatic Pre-Characterization and Machine Learning
Zhixing Li and Weiping Shi
Texas A&M University

3:50PM
4D.3
Asynchronous Design flow for Neuromorphic Chips
Prasad Joshi
Intel Corporation

4:10PM
4D.4
Heterogeneous Integration Platform for AI Applications
Madhavan Swaminathan
Georgia Institute of Technology