Ordinary Kriging Metamodel-Assisted Ant Colony Algorithm for Fast Analog Design Optimization

Oghenekarho Okobiah,  Saraju Mohanty,  Elias Kougianos
University of North Texas


Abstract

Excessive time requirements for exhaustive simulation of Nano-CMOS circuits present a serious obstacle on efficient design space exploration. It severely affects the designer's capability to produce optimal designs. This paper explores a Kriging based metamodeling technique that allows designers to create a model of a circuit with very good accuracy, while greatly reducing the time required for simulations. Regression and interpolation based methods have been researched extensively and are a commonly used technique for creating metamodels. However, they do not take into account the effect of correlation between design and process parameters, which are critical in the nanoscale regime. Kriging provides an improved metamodeling technique which takes into effect correlation effects during the metamodel generation phase. The generated metamodel is subjected to an Ant Colony Optimization (ACO) algorithm that enables fast optimization of the circuit. This design methodology is evaluated on a sense amplifier circuit as a case study. The results show that the Kriging based metamodels are very accurate and the ACO based algorithm optimizes the sense amplifier precharge time with power consumption as a design constraint in an \emph{average time of 3.7 minutes (optimization on the metamodel), compared to 72 hours (optimization on the SPICE netlist)}.