Post-Synthesis Sleep Transistor Insertion for Leakage Power Optimization in Clock Tree Networks

Houman Homayoun,  Shahin Golshan,  Eli Bozorgzadeh,  Alex Veidenbaum,  Fadi Kurdahi
UCI


Abstract

ABSTRACT Leakage power has grown significantly and is a major challenge in SoC design. Among SoC components, clock distribution network power accounts for a large portion of chip power. In this paper, we propose to deploy sleep transistor insertion (STI) in the clock tree in order to reduce leakage power. We characterize the effect of sleep transistor sharing and sizing on clock tree wakeup time, leakage power, and propagation delay. We use this characteristic during leakage power optimization. We present post synthesis sleep transistor insertion (PSSTI), a heuristic clustering algorithm for sleep transistor insertion with the objective of total power minimization in a given clock tree. Sleep transistor sharing and sizing are deployed in order to meet the clock skew and wakeup delay constraints. We explored the potential benefits of STI using a standard industrial VLSI-CAD design flow including sleep-transistor insertion and routing after clock synthesis and place-and-route of the benchmark circuits. Our results show that clock tree leakage power can be reduced significantly.