Performance-Thermal Trade-offs for a VFI-Enabled 3D NoC Architecture

Dongjin Lee, Sourav Das, Partha Pande
Washington State University


Abstract

Small-world (SW) network-enabled 3D NoC (3D SWNoC) is capable of achieving better performance and lower energy consumption compared to conventional 3D MESH architectures. However, the 3D SWNoC still suffers from high power density and the resultant thermal hotspots leading to functionality and reliability concerns over time. The power consumption and thermal profiles of the 3D SWNoC can be improved by incorporating a Voltage Frequency Island (VFI)-based power management strategy. In this paper, we perform a detailed design space exploration for the 3D SWNoC incorporating VFI. We demonstrate that for the considered applications, the VFI enabled 3D SWNoC lowers the energy-delay-product (EDP) up to 63.3%, compared to a 2D MESH without VFI. Moreover, by incorporating VFI, we reduce the maximum temperature of 3D SWNoC by up to 24.4% compared to the non-VFI counterpart.